FPGA開発日記

カテゴリ別記事インデックス https://msyksphinz.github.io/github_pages , English Version https://fpgadevdiary.hatenadiary.com/

2024-01-29から1日間の記事一覧

Vivadoのファイルリスト読み込み順序によるエラーの対処方法

例えば以下の2つのVerilogファイルを持ったプロジェクトを考える。 package.sv package normal_package; localparam SIZE = 32; endpackage // normal_package module2.sv module module2 import normal_package::*; ( input logic clk, input logic reset, …