FPGA開発日記

カテゴリ別記事インデックス https://msyksphinz.github.io/github_pages , English Version https://fpgadevdiary.hatenadiary.com/

2021-02-01から1日間の記事一覧

Espressoを使ってSystem Verilogの Decoder Wrapperを作ってみる (2)

Espressoを用いたデコードラッパを作っているが、もう少し便利にしたい。制御信号の生成については、TrueかFalseしか指定することができなかった。 "inst_ctrl":["RD_R3", "OP_SIGN_ADD", "IMM_U"] しかし、この制御信号の意味は実際にはRD制御信号がR3であ…