cocotbを試す(2.自分のデザインで実験)

cocotbの導入が完了したので、次は自分のデザインに適用してみよう。 msyksphinz/pulsar-2github.com ユニットif_unitに、単体テストを実施してみよう。 まずは、リセット直後にAXIチャネルIF_MARVALIDがアサートされることをチェックしてみよう。 TOPLEVEL := if_unit TOPLEVEL_LANG ?= verilog PWD=$(shell pwd) # COCOT…