cocotbを試す(1.導入)

cocotbは、Pythonで記述できるテストベンチ作成ユーティリティだ。 VerilogのテストにPython製フレームワーク「cocotb」を使う。 - Qiitaqiita.com potentialventures/cocotbgithub.com ユニットテストをする際、簡単にテストパタンを作れたほうがいいし、Verilogをそのまま書くのも面倒なので、Pythonで記述できるcocotb…