Verilog-Perl の vhier を使ってモジュール構成を把握する

Verilog-Perl には、 vhier というモジュール階層を認識するスクリプトがある。 これを使って現在開発しているモジュールの階層を把握してみよう。 Manual-verilog-perl - Verilog-Perl - Veripoolwww.veripool.org vhier -f dotf/pulsar1_top.f --top-module pulsar1_top -o pulsar1.hier --cells --forest --instance と…