FPGA開発日記

カテゴリ別記事インデックス https://msyksphinz.github.io/github_pages , English Version https://fpgadevdiary.hatenadiary.com/

2021-10-20から1日間の記事一覧

SystemVerilog の Streaming演算について勉強 (Verilatorでの生成結果)

SystemVerilogのStream演算について、念のためVerilatorでどのように生成されているのか確認しておこうと思った。 まず、簡単な構成として以下のようなStream演算をコンパイルしてみた。 initial begin static bit [7:0] value_a = 8'h8C; static bit [7:0] …