FPGA開発日記

カテゴリ別記事インデックス https://msyksphinz.github.io/github_pages , English Version https://fpgadevdiary.hatenadiary.com/

2021-10-19から1日間の記事一覧

SystemVerilog の Streaming演算について勉強

SystemVerilogのStreaming演算について、あまり良く知らなかったのでいろいろ勉強しようと思った。 Streaming演算では、任意のサイズでのビット列の反転ができるらしい。以下のサイトが参考になる。 https://www.amiq.com/consulting/2017/05/29/how-to-pack…