FPGA開発日記

カテゴリ別記事インデックス https://msyksphinz.github.io/github_pages , English Version https://fpgadevdiary.hatenadiary.com/

2021-10-18から1日間の記事一覧

GTKWaveとVerilatorはSystemVerilogのunion型をどのように扱っているのか

ふと気になって、SystemVerilogのunion型をどのように扱っているのか調査しようと思った。現在私のデザインではunion型は使っていないけれども、今後使うことになるとデバッグ時にGTKWaveで波形を観察することになる。GTKWaveがどのようにunion型を扱ってい…