FPGA開発日記

カテゴリ別記事インデックス https://msyksphinz.github.io/github_pages , English Version https://fpgadevdiary.hatenadiary.com/

2021-04-05から1日間の記事一覧

Vivado Simulatorを使ってUVMに入門する (2. driver / agent / monitor / sequencer などなど)

Vivado Simulatorを使ってUVMに入門している。とりあえず良い資料が無いので以下のサイトを見ながら我流でいろいろ試している。 sites.google.com UVM Driver / Agent / monitor / Sequencer を以下のように配置した。 . |-- Makefile |-- model | |-- sampl…