FPGA開発日記

カテゴリ別記事インデックス https://msyksphinz.github.io/github_pages , English Version https://fpgadevdiary.hatenadiary.com/

2021-03-28から1日間の記事一覧

順不同に格納されたエントリの順番を保持するAge Matrix回路について (SystemVerilogで書いてみる)

Age Matrixの続き。理解のために実際に自分でも書いてみることにした。 モジュールとしては SIZEエントリを保持するバッファを作ってみた。 push Valid Pushする場所を示すインデックス(OH) Pushするデータ pop Valid Popする場所を示すインデックス(OH) log…