FPGA開発日記

カテゴリ別記事インデックス https://msyksphinz.github.io/github_pages , English Version https://fpgadevdiary.hatenadiary.com/

2020-05-10から1日間の記事一覧

Verilatorの使い方(3. Lintとして活用する)

Verilatorは論理シミュレータだけではなく、Lintとしても活用することができる。例えばこれまで使用してきたcounter_4bit.vをLintに掛けてみよう。 $ verilator --lint-only -Wall counter_4bit.v %Warning-DECLFILENAME: counter_4bit.v:1:8: Filename 'cou…