FPGA開発日記

カテゴリ別記事インデックス https://msyksphinz.github.io/github_pages , English Version https://fpgadevdiary.hatenadiary.com/

2019-09-18から1日間の記事一覧

ハードウェア記述言語Chiselコンパイラの内部解析(7. FixedPoint型を使ったコードを書いてみる)

Chiselの改造をするためにいくつか型を追加する試行をしたが、一つ題材として浮動小数点をサポートできる型を作ってみたい。 この練習をするために、まずはChiselで標準的にサポートされているFixedPoint型について少し触ってみることにした。 ChiselのFixed…