FPGA開発日記

カテゴリ別記事インデックス https://msyksphinz.github.io/github_pages , English Version https://fpgadevdiary.hatenadiary.com/

2019-09-06から1日間の記事一覧

ChiselでMapやReduceを使ったいくつかのハードウェア記述Tips

ChiselはScalaをベースとしたハードウェア記述言語なので、Verilog-HDLではあまり見かけることのない記述ができる。 最近使っているChiselの便利な技法のいくつかをまとめてみる。 Vecの中身を.reducを使ってリダクションする Vecにはreductionなどの記法が…