FPGA開発日記

カテゴリ別記事インデックス https://msyksphinz.github.io/github_pages , English Version https://fpgadevdiary.hatenadiary.com/

2019-09-15から1日間の記事一覧

ハードウェア記述言語Chiselコンパイラの内部解析(4. Chiselで代入演算子がハードウェアに落ちるまで)

Chiselのコンパイルフローの解析の続き。前の記事は以下。 例えば、Chiselでは以下のようにして入力信号を出力信号につなげる記述が可能になる。 val io = IO(new Bundle { val in = Input(Bool()) val out = Output(Bool()) }) io.out := io.in この:=とい…