FPGA開発日記

カテゴリ別記事インデックス https://msyksphinz.github.io/github_pages , English Version https://fpgadevdiary.hatenadiary.com/

2015-06-29から1日間の記事一覧

cocotbを試す(1.導入)

cocotbは、Pythonで記述できるテストベンチ作成ユーティリティだ。 VerilogのテストにPython製フレームワーク「cocotb」を使う。 - Qiitaqiita.com potentialventures/cocotbgithub.com ユニットテストをする際、簡単にテストパタンを作れたほうがいいし、Ve…